Prismjs

2020年4月5日日曜日

Vivadoでシミュレーション時のクロック同期タイミング仕様について


VIVADOでシミュレーション時のクロック同期タイミングについて


以下のようなテストベンチでシミュレーションした(簡略化のためシミュレーション対象はクロックに同期してabを代入する回路)
b#を使って無理やり?任意のタイミングでクロックに合わせて変化させている。